COM3-02-13
651 66839

www.comp.nus.edu.sg/~tulika

Tulika MITRA

Provost's Chair Professor
Vice Provost (Academic Affairs), NUS Office of the Provost

  • Ph.D. (Computer Science, Stony Brook University, New York, 2000)
  • M.E. (Computer Science, Indian Institute of Science, 1997)
  • B.E. (Computer Science, Jadavpur University, 1995)

Tulika Mitra is a Professor (with tenure) of Computer Science at School of Computing, National University of Singapore. She received her PhD from the State University of New York at Stony Brook (2000) and M.E. from the Indian Institute of Science (1997), both in Computer Science. Her research interests span various aspects of the design automation in the context of embedded real-time systems, cyber-physical systems, and Internet of Things with particular emphasis on energy-efficient computing, heterogeneous computing, application-specific processors, and software timing analysis/optimizations. She has authored over hundred scientific publications in premier international journals and conferences in this domain. Her research has been recognized by best paper award at FPT 2012 and best paper nominations at DAC (2016, 2012, 2009), DATE 2017, VLSI 2013, CODES+ISSS 2008, FPL 2007, ECRTS 2007, and CASES (2016, 2007). She is co-inventor of the widely used Chronos static timing analysis tool for real-time software. Her research has been funded by Ministry of Education Singapore, National Research Foundation Singapore, A*STAR Science & Engineering Research Council, Cambridge Silicon Radio, Huawei, and in-kind contributions from ARM. Prof. Mitra is IEEE Distinguished Visitor (2018-2020) and is the winner of the Indian Institute of Science Prof. S. K. Chatterjee Outstanding Woman Researcher Award 2017. Prof. Mitra serves as the Deputy Editor-in-Chief of IEEE Embedded Systems Letters, Senior Associate Editor of the ACM Transactions on Embedded Computing Systems, and Associate Editor of the IEEE Design & Test Magazine, EURASIP Journal on Embedded Systems. She was Associate Editor of the IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems and IET Computers & Digital Techniques in the past. She has served in the organizing and program committees of almost all major conferences in the embedded systems, real-time systems, and design automation domain.

RESEARCH AREAS

RESEARCH INTERESTS

  • Real-Time Embedded Systems

  • Design Automation

  • Low Power Design

  • Power Management

  • Heterogeneous Computing

RESEARCH PROJECTS

RESEARCH GROUPS

TEACHING INNOVATIONS

SELECTED PUBLICATIONS

  • Muthukaruppan, Thannirmalai Somu, Mihai Pricopi, Vanchinathan Venkataramani, Tulika Mitra, and Sanjay Vishin. "Hierarchical power management for asymmetric multi-core in dark silicon era." In Proceedings of the 50th Annual Design Automation Conference, p. 174. ACM, 2013.
  • Somu Muthukaruppan, Thannirmalai, Anuj Pathania, and Tulika Mitra. "Price theory based power management for heterogeneous multi-cores." In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems, pp. 161-176. ACM, 2014.
  • Pathania, Anuj, Qing Jiao, Alok Prakash, and Tulika Mitra. "Integrated CPU-GPU power management for 3D mobile games." In Proceedings of the 51st Annual Design Automation Conference, pp. 1-6. ACM, 2014.
  • Jayaseelan, Ramkumar, Tulika Mitra, and Xianfeng Li. "Estimating the worst-case energy consumption of embedded software." In Real-Time and Embedded Technology and Applications Symposium, 2006. Proceedings of the 12th IEEE, pp. 81-90. IEEE, 2006.
  • Li, Xianfeng, Yun Liang, Tulika Mitra, and Abhik Roychoudhury. "Chronos: A timing analyzer for embedded software." Science of Computer Programming 69, no. 1-3 2007: 56-67.
  • Liang, Yun, Huping Ding, Tulika Mitra, Abhik Roychoudhury, Yan Li, and Vivy Suhendra. "Timing analysis of concurrent programs running on shared cache multi-cores." Real-Time Systems 48, no. 6 2012: 638-680.
  • Suhendra, Vivy, Chandrashekar Raghavan, and Tulika Mitra. "Integrated scratchpad memory optimization and task scheduling for MPSoC architectures." In Proceedings of the 2006 international conference on Compilers, architecture and synthesis for embedded systems, pp. 401-410. ACM, 2006.
  • Yu, Pan, and Tulika Mitra. "Scalable custom instructions identification for instruction-set extensible processors." In Proceedings of the 2004 international conference on Compilers, architecture, and synthesis for embedded systems, pp. 69-78. ACM, 2004.

AWARDS & HONOURS

  • IEEE Distinguished Visitor 2018-2020

  • Indian Institute of Science (IISc) Prof. S. K. Chatterjee Outstanding Woman Researcher Award 2017

  • Best Paper Award, 11th IEEE International Conference of Field Programmable Technology (FPT) 2012

  • Best Paper Candidate: DATE'17, DAC'16, CASES'16, VLSI'13, DAC'12, DAC'09, CODES+ISSS'08, FPL'07, ECRTS'07, CASES'07, CASES'04

  • School of Computing, National University of Singapore Teaching Excellence Award 2006

  • Computer Society Medal for first position, CS Department, Indian Institute of Science 1997

  • Dr. B. C. Roy Memorial Medal for first position, Engineering Faculty, Jadavpur University 1995

  • University Medal for first position, CS Department, Jadavpur University 1995

MODULES TAUGHT

 

In the News

20220905-Four-NUS-Computing-professors-awarded-grants
5 September 2022
05 September 2022 — To facilitate their research in software programming, cryptography, and deep learning networks, NUS Computing faculty members ...

Knowledge@Computing

26 March 2019
For those who’ve taken the plunge into the world of wearable devices — 61 million of us by the year’s ...