

I am an associate professor in the Computer Science Department of the School of Computing at NUS. We work to build new hardware and software designs that improve the performance and security of computing systems, while still maintaining efficiency. The foundation for our architecture research comes from our experience and continued research in fast and accurate simulation methodologies and analytical modeling (See the Sniper Multi-Core Simulator for more details).
If you are interested in conducting research on secure computing platforms, AI accelerators, programmable hardware, or simulation methodologies, see our open positions page and application process and deadlines for details.
[Google Scholar][ORCID][DBLP]; Contact us at tcarlson<AT>nus.edu.sg; You can find me at COM3-02-10.
Navigate to Researchers, Alumni Researchers, Code and Projects, Works-in-Progress, Publications
Researchers in our Lab
Postdocs | ||
---|---|---|
บุรินทร์ อมรไพศาลนนท์ | Burin Amornpaisannon | Efficient Hardware Security |
Gregory J. Duck | Security | |
مونا هاشمی | Mona Hashemi | Security |
PhD Students | ||
付逸豪 | Yihao Fu | Systems |
韩方祺 | Fangqi Han | PL and Compilers |
උදාරී චතුරංගී හිරන්තිකා කනේවල | Udaree Kanewala | Efficient Hardware Security |
康清玄 | Qingxuan (Ray) Kang | Efficient Hardware Simulation |
이동인 | Dongin Lee | Simulation |
刘常喜 | Changxi Liu | Efficient Hardware Simulation |
马曦迪 | Xidi Ma | Artificial Intelligence Efficient Hardware |
裴凌枫 | Lingfeng Pei | Efficient Hardware Security |
యశ్వంత్ తవ్వా | Yaswanth Tavva (with Li Shiuan Peh) | Security |
项婷婷 | Tingting Xiang | Artificial Intelligence Efficient Hardware |
于淼 | Miao Yu | Artificial Intelligence Efficient Hardware |
Researchers | ||
साई धवल फाये | Sai Dhawal Phaye | Security |
Visiting Researchers | ||
程晓宇 | Xiaoyu Cheng | Security |
刘畅 | Chang Liu | Security |
张鑫 | Xin Zhang | Security |
Undergraduate Researchers | ||
陈道恩 | Dao En Ding | Efficient Hardware |
何浩瑞 | Haorui He | Artificial Intelligence |
陈广哲 | Kwang Thiag Tan | Efficient Hardware |
Ivan Tan | Efficient Hardware | |
杨慧敏 | Hui Min (Rachel) Yeo | Artificial Intelligence |
Alumni Researchers
Postdocs | ||
---|---|---|
Ανδρέας Διαβαστός | Andreas Diavastos | Efficient Hardware Security |
منصوره لباف نیا | Mansoureh Labafniya | Efficient Hardware Security |
వెంకట పవన్ కుమార్ మిరియాల | Venkata Pavan Kumar Miriyala | Artificial Intelligence |
खुशबू रानी | Khushboo Rani | Security |
PhD Students | ||
न्यूटन | Newton (with Virendra Singh) | Efficient Hardware |
บุรินทร์ อมรไพศาลนนท์ | Burin Amornpaisannon (with Li Shiuan Peh) | Efficient Hardware Security |
陈韵 | Yun Chen | Efficient Hardware Security |
علی حاجی آبادی | Ali Hajiabadi | Efficient Hardware Security |
이진호 | Jinho Lee | Efficient Hardware |
آرش پاش رشید | Arash Pashrashid | Security |
അലൻ കണ്ടത്തുംതൊടുകയിൽ സാബു | Alen Kandathumthodukayil Sabu | Simulation |
Researchers | ||
अर्चित अग्रवाल | Archit Agarwal | Security |
आकांक्षा चौधरी | Akanksha Chaudhari | Simulation |
നീതു ബാൽ മല്ല്യ | Neethu Bal Mallya | |
Visiting Researchers | ||
Teodor-Ştefan Duțu | Teodor-Stefan Dutu | Efficient Hardware |
مونا هاشمی | Mona Hashemi | Security |
Ionuț Mihalache | Ionut Mihalache | Efficient Hardware |
汪晓晨 | Xiaochen Wang | Efficient Hardware |
Undergraduate Researchers | ||
陈彤 | Tong Chen | Artificial Intelligence |
张钧勇 | Jin Yong (Kenny) Chon | Security |
朱振忠 | Kyle Timothy Ng Chu | Artificial Intelligence Efficient Hardware |
范达熠 | Dayi Fan | |
冯彦恺 | Yan Kai (Brandon) Foong | Security |
黄伟聪 | Weicong Huang | |
康清玄 | Qingxuan (Ray) Kang | Simulation |
林俊宇 | Chun Yu Lam | Efficient Hardware |
刘玮修 | Wei Siew Liew | Efficient Hardware |
刘志洋 | Zhiyang (Frank) Liu | Efficient Hardware |
卢育全 | Keven Loo | Efficient Hardware |
刘骏 | Jun (Keith) Low | Efficient Hardware |
黄文丰 | Boon Hong Ng | |
Nguyễn Đăng Phúc Nhật | Dang Phuc Nhat Nguyen | |
Srivatsa P | ||
馮嘉俊 | Vernon Pang | |
陈界铭 | Kai Min (Russell) Tan | Efficient Hardware |
張偉政 | Wei Zheng Teo | |
王继寒 | Jihan Wang | Artificial Intelligence |
王宇辰 | Yuchen Wang | Simulation |
俞悦 | Yue Yu | |
Liangqing Yuan | Systems | |
张继堃 | Jikun Zhang | Artificial Intelligence Simulation |
Code Repositories and Project Sites
-
Securing Mixed Rust with Hardware Capabilities
-
Caplification: Bridging Capability-Aware and Capability-Oblivious Software
-
Fully Randomized Pointers
-
MDPeek: Breaking Balanced Branches in SGX With Memory Disambiguation Unit Side Channels
-
Prime+Reset: Introducing A Novel Cross-World Covert-Channel Through Comprehensive Security Analysis on ARM TrustZone
-
PrefetchX: Cross-Core Cache-Agnostic Prefetcher-Based Side-Channel Attacks
-
GadgetSpinner: A New Transient Execution Primitive using the Loop Stream Detector
-
Photon: A Fine-grained Sampled Simulation Methodology for GPU Workloads
-
Capstone: A Capability-based Foundation for Trustless Secure Memory Access
-
AfterImage: Leaking Control Flow Data and Tracking Load Operations via the Hardware Prefetcher
-
Fast, Robust and Accurate Detection of Cache-based Spectre Attack Phases
-
Elasticlave: An Efficient Memory Model for Enclaves
-
LoopPoint: Checkpoint-driven Sampled Simulation for Multi-threaded Applications
-
ELFies: Executable Region Checkpoints for Performance Analysis and Simulation
-
Laser Attack Benchmark Suite
-
QAOAToolkit: Bringing Quantum Optimization to the End User
-
Directed Statistical Warming Through Time Traveling
-
Behind the Scenes: Memory Analysis of Graphical Workloads on Tile-based GPUs
-
An Evaluation of High-Level Mechanistic Core Models
-
BarrierPoint: Sampled Simulation of Multi-threaded Applications
-
Sniper: Exploring the Level of Abstraction for Scalable and Accurate Parallel Multi-Core Simulations
Works-in-progress
-
Anvil: A General-Purpose Timing-Safe Hardware Description Language
arXiv preprint arXiv:2503.19447, 2025.
Efficient Hardware
Selected Publications
-
Simulator-Agnostic Sample Selection Methodology for Heterogeneous CPU-GPU Applications
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (PACT), 2025.
Sampling
-
Multi-Stream Squash Reuse for Control-Independent Processors
International Symposium on Microarchitecture (MICRO), 2025.
Efficient Hardware
-
Securing Mixed Rust with Hardware Capabilities
Conference on Computer and Communications Security (CCS), 2025.
Security
-
TOP: A Combined Logical and Physical Obfuscation Method for Securing Networks-on-Chip Against Reverse Engineering Attacks
IEEE Access, 2025.
Security
-
Caplification: Bridging Capability-Aware and Capability-Oblivious Software
Symposium on Access Control Models and Technologies (SACMAT), 2025.
Security
-
Cassandra: Efficient Enforcement of Sequential Execution for Cryptographic Programs
International Symposium on Computer Architecture (ISCA), 2025.
Security
-
The Sparsity-Aware LazyGPU Architecture
International Symposium on Computer Architecture (ISCA), 2025.
Efficient Hardware
-
HoBBy: Hardening Unbalanced Branches against Control Flow Attacks on Intel SGX and AMD SEV
Design Automation Conference (DAC), 2025.
Security
-
SSFT: Algorithm and Hardware Co-design for Structured Sparse Fine-Tuning of Large Language Models
Design Automation Conference (DAC), 2025.
Artificial Intelligence Efficient Hardware
-
LeakyDSP: Exploiting Digital Signal Processing Blocks to Sense Voltage Fluctuations in FPGAs
Design Automation Conference (DAC), 2025.
Security
-
AmpereBleed: Exploiting On-chip Current Sensors for Circuit-Free Attacks on ARM-FPGA SoCs
Design Automation Conference (DAC), 2025.
Security
-
Fully Randomized Pointers
International Symposium on Memory Management (ISMM), 2025.
Security
-
CTScan: A CGRA-based Platform for the Emulation of Power Side-Channel Attacks on Edge CPUs
ACM Transactions on Reconfigurable Technology and Systems (TRETS), 2025.
Security
-
MDPeek: Breaking Balanced Branches in SGX With Memory Disambiguation Unit Side Channels
International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2025.
Security
-
PARADISE: Criticality-Aware Instruction Reordering for Power Attack Resistance
ACM Transactions on Architecture and Code Optimization (TACO), 2025.
Security
-
SRLL: Improving Security and Reliability With User-Defined Constraint-Aware Logic Locking
ACM Journal on Emerging Technologies in Computing Systems (JETC), 2024.
Security
-
Pac-Sim: Simulation of Multi-threaded Workloads Using Intelligent, Live Sampling
ACM Transactions on Architecture and Code Optimization (TACO), 2024.
Sampling Simulation
-
Improving (meta)comprehension: Feedback and Self-assessment
Journal of Learning and Instruction (JLI), 2024.
Education
-
Conjuring: Leaking Control Flow via Speculative Fetch Attacks
Nominated Best Paper
Design Automation Conference (DAC), 2024.
Security
-
Levioso: Efficient Compiler-Informed Secure Speculation
Design Automation Conference (DAC), 2024.
Security
-
FAST-GO: Fast, Accurate, and Scalable Hardware Trojan Detection Using Graph Convolutional Networks
International Symposium on Quality Electronic Design (ISQED), 2024.
Security
-
Prime+Reset: Introducing A Novel Cross-World Covert-Channel Through Comprehensive Security Analysis on ARM TrustZone
Design, Automation and Test in Europe Conference and Exhibition (DATE), 2024.
Security
-
PrefetchX: Cross-Core Cache-Agnostic Prefetcher-Based Side-Channel Attacks
International Symposium on High-Performance Computer Architecture (HPCA), 2024.
Security
-
GadgetSpinner: A New Transient Execution Primitive using the Loop Stream Detector
International Symposium on High-Performance Computer Architecture (HPCA), 2024.
Security
-
Secure Run-Time Hardware Trojan Detection Using Lightweight Analytical Models
Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2024.
Analytical Modeling Security
-
Viper: Utilizing Hierarchical Program Structure to Accelerate Multi-core Simulation
IEEE Access, 2024.
Sampling Simulation
-
HidFix: Efficient Mitigation of Cache-based Spectre Attacks Through Hidden Rollbacks
International Conference on Computer-Aided Design (ICCAD), 2023.
Security
-
Photon: A Fine-grained Sampled Simulation Methodology for GPU Workloads
International Symposium on Microarchitecture (MICRO), 2023.
Sampling Simulation
-
Multiply-and-Fire: An Event-driven Sparse Neural Network Accelerator
ACM Transactions on Architecture and Code Optimization (TACO), 2023.
Artificial Intelligence
-
3DRA: Dynamic Data-Driven Reconfigurable Architecture
IEEE Access, 2023.
Efficient Hardware
-
Capstone: A Capability-based Foundation for Trustless Secure Memory Access
USENIX Security Symposium, 2023.
Security
-
A TTFS-based Energy and Utilization Efficient Neuromorphic CNN Accelerator
Frontiers in Neuroscience, 2023.
Artificial Intelligence
-
AfterImage: Leaking Control Flow Data and Tracking Load Operations via the Hardware Prefetcher
International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2023.
Security
-
Fast, Robust and Accurate Detection of Cache-based Spectre Attack Phases
International Conference on Computer-Aided Design (ICCAD), 2022.
Security
-
A Cross-Prefetcher Schedule Optimization Methodology
IEEE Access, 2022.
Efficient Hardware
-
Efficient Instruction Scheduling Using Real-time Load Delay Tracking
ACM Transactions on Computer Systems (TOCS), 2022.
Efficient Hardware
-
Elasticlave: An Efficient Memory Model for Enclaves
USENIX Security Symposium, 2022.
Security
-
LoopPoint: Checkpoint-driven Sampled Simulation for Multi-threaded Applications
International Symposium on High-Performance Computer Architecture (HPCA), 2022.
Sampling Simulation
-
GraphWave: A Highly-Parallel Compute-at-Memory Graph Processing Accelerator
Design, Automation and Test in Europe Conference and Exhibition (DATE), 2022.
Efficient Hardware
-
Sentry-NoC: A Statically Scheduled NoC for Secure SoCs
International Symposium on Networks-on-Chip (NOCS), 2021.
Security
-
Rectified Linear Postsynaptic Potential Function for Backpropagation in Deep Spiking Neural Networks
IEEE Transactions on Neural Networks and Learning Systems (TNNLS), 2021.
Artificial Intelligence
-
Ultra-Fast CGRA Scheduling to Enable Run Time, Programmable CGRAs
Design Automation Conference (DAC), 2021.
Efficient Hardware
-
Tatami: Dynamic CGRA Reconfiguration for Multi-Core General Purpose Processing
Work-in-Progress at Design Automation Conference (DAC), 2021.
Efficient Hardware
-
NOREBA: A Compiler-Informed Non-speculative Out-of-Order Commit Processor
International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2021.
Efficient Hardware
-
ELFies: Executable Region Checkpoints for Performance Analysis and Simulation
International Symposium on Code Generation and Optimization (CGO), 2021.
Performance Analysis Simulation
-
SOTERIA: In Search of Efficient Neural Networks for Private Inference
arXiv preprint arXiv:2007.12934, 2020.
Artificial Intelligence
-
A Framework for Developing Critical Literacies in Computer Architecture Education
International Conference on Teaching, Assessment, and Learning for Engineering (TALE), 2020.
Education
-
Laser Attack Benchmark Suite
International Conference on Computer-Aided Design (ICCAD), 2020.
Security Simulation
-
QAOAToolkit: Bringing Quantum Optimization to the End User
Poster at International Workshop on Quantum Compilation (IWQC), 2020.
-
Secure Your SoC: Building System-on-Chip Designs for Security
International System-on-Chip Conference (SOCC), 2020.
Security Simulation
-
PIM-GraphSCC: PIM-Based Graph Processing Using Graph’s Community Structures
Computer Architecture Letters (CAL), 2020.
Efficient Hardware
-
Directed Statistical Warming Through Time Traveling
Nominated Best Paper
International Symposium on Microarchitecture (MICRO), 2019.
Sampling Simulation
-
Sampled Simulation of Task-Based Programs
IEEE Transactions on Computers (TC), 2018.
Analytical Modeling Sampling
-
Active Learning to Develop Key Research Skills in Master’s Level Computer Science Coursework
International Conference on Teaching, Assessment, and Learning for Engineering (TALE), 2018.
Education
-
SWOOP: Software-Hardware Co-Design for Non-Speculative Execute-Ahead, In-Order Cores
The ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), 2018.
PL and Compilers Efficient Hardware
-
Non-Speculative Load Reordering in Total Store Ordering
IEEE Micro Top Picks from the Computer Architecture Conferences (TopPicks), 2018.
Efficient Hardware
-
Maximizing Limited Resources: A Limit-Based Study and Taxonomy of Out-of-Order Commit
Journal of Signal Processing Systems, 2018.
Performance Analysis Efficient Hardware
-
Power-performance Tradeoffs in Data Center Servers: DVFS, CPU Pinning, Horizontal, and Vertical Scaling
Future Generation Computer Systems, 2018.
Performance Analysis
-
Behind the Scenes: Memory Analysis of Graphical Workloads on Tile-based GPUs
Nominated Best Paper
International Symposium on Performance Analysis of Systems and Software (ISPASS), 2018.
Performance Analysis
-
Transcending Hardware Limits With Software Out-of-order Processing
Best of CAL
International Symposium on High Performance Computer Architecture (HPCA), 2018.
Efficient Hardware
-
Static Instruction Scheduling for High Performance on Limited Hardware
IEEE Transactions on Computers (TC), 2017.
PL and Compilers
-
A Graphics Tracing Framework for Exploring CPU+GPU Memory Systems
IEEE International Symposium on Workload Characterization (IISWC), 2017.
Performance Analysis
-
Non-Speculative Load-Load Reordering in TSO
International Symposium on Computer Architecture (ISCA), 2017.
Efficient Hardware
-
Exploring the Performance Limits of Out-of-order Commit
Computing Frontiers Conference (CF), 2017.
Performance Analysis Efficient Hardware
-
Clairvoyance: Look-ahead Compile-time Scheduling
International Symposium on Code Generation and Optimization (CGO), 2017.
PL and Compilers
-
Analytical Processor Performance and Power Modeling Using Micro-Architecture Independent Characteristics
IEEE Transactions on Computers (TC), 2016.
Analytical Modeling
-
CoolSim: Statistical Techniques to Replace Cache Warming With Efficient, Virtualized Profiling
Best Paper
Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS), 2016.
Simulation
-
Long Term Parking (LTP): Criticality-aware Resource Allocation in OOO Processors
International Symposium on Microarchitecture (MICRO), 2015.
Efficient Hardware
-
Full Speed Ahead: Detailed Architectural Simulation at Near-Native Speed
IEEE International Symposium on Workload Characterization (IISWC), 2015.
Simulation
-
The Load Slice Core Microarchitecture
International Symposium on Computer Architecture (ISCA), 2015.
Efficient Hardware
-
Epoch Profiles: Microarchitecture Application Analysis and Optimization
Computer Architecture Letters (CAL), 2015.
Performance Analysis
-
Chrysso: An Integrated Power Manager for Constrained Many-core Processors
International Conference on Computing Frontiers (CF), 2015.
Efficient Hardware
-
Micro-architecture Independent Analytical Processor Performance and Power Modeling
Nominated Best Paper
International Symposium on Performance Analysis of Systems and Software (ISPASS), 2015.
Analytical Modeling
-
An Evaluation of High-Level Mechanistic Core Models
ACM Transactions on Architecture and Code Optimization (TACO), 2014.
Performance Analysis Simulation
-
BarrierPoint: Sampled Simulation of Multi-threaded Applications
Nominated Best Paper
International Symposium on Performance Analysis of Systems and Software (ISPASS), 2014.
Sampling
-
Undersubscribed Threading on Clustered Cache Architectures
International Symposium on High Performance Computer Architecture (HPCA), 2014.
Efficient Hardware
-
PCantorSim: Accelerating Parallel Architecture Simulation Through Fractal-based Sampling
ACM Transactions on Architecture and Code Optimization (TACO), 2013.
Sampling
-
Sampled Simulation of Multi-Threaded Applications
Best Paper
International Symposium on Performance Analysis of Systems and Software (ISPASS), 2013.
Sampling
-
Power-Aware Multi-Core Simulation for Early Design Stage Hardware/Software Co-Optimization
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (PACT), 2012.
Simulation
-
Using Cycle Stacks to Understand Scaling Bottlenecks in Multi-threaded Workloads
IEEE International Symposium on Workload Characterization (IISWC), 2011.
Performance Analysis
-
Sniper: Exploring the Level of Abstraction for Scalable and Accurate Parallel Multi-Core Simulations
International Conference for High Performance Computing, Networking, Storage and Analysis (SC), 2011.
Simulation
-
Using Fast and Accurate Simulation to Explore Hardware/Software Trade-offs in the Multi-Core Era
International Conference on Parallel Computing (ParCo), 2011.
Performance Analysis
-
3D Stacking of DRAM on Logic
Three Dimensional System Integration: IC Stacking Process and Design, 2011.
Efficient Hardware
-
Automated Pathfinding Tool Chain for 3D-stacked Integrated Circuits: Practical Case Study
International Conference on 3D System Integration (3DIC), 2009.
Performance Analysis
-
System-level Power/Performance Evaluation of 3D Stacked DRAMs for Mobile Applications
Conference on Design, Automation and Test in Europe (DATE), 2009.
Performance Analysis Efficient Hardware
-
Generic Multiphase Software Pipelined Partial FFT on Instruction Level Parallel Architectures
IEEE Transactions on Signal Processing, 2009.
Efficient Hardware
* indicates equal contribution.