Publications

Copyright disclaimer: The documents contained in this page are included to ensure timely dissemination of scholarly and technical work on a non-commercial basis. Copyright and all rights therein are maintained by the authors or by other copyright holders, notwithstanding that they have offered their works here electronically. It is understood that all persons copying this information will adhere to the terms and constraints invoked by each author's copyright. These works may not be reposted without the explicit permission of the copyright holder.

Note about author order: I generally adhere to student authors in order of contribution followed by senior authors in alphabetical lastname order or according to order of contributions for papers from my group.

2024

[HPCA] ASADI: Accelerating Sparse Attention using Diagonal-based In-situ Computing
Huize Li, Zhaoying Li, Zhenyu Bai, Tulika Mitra
30th IEEE International Symposium on High-Performance Computer Architecture, 2022

[DATE] CRISP: Hybrid Structured Sparsity for Class-aware Model Pruning
Shivam Aggarwal, Kuluhan Binici,Tulika Mitra
Design Automation and Test in Europe, 2024
Open-Source Code

[TODAES] Flip: Data-Centric Edge CGRA Accelerator
Dan Wu, Peng Chen, Thilini Kaushalya Bandara, Zhaoying Li, Tulika Mitra
ACM Transactions on Design Automation of Electronic Systems (Forthcoming) 

[TCAD] Chameleon: Dual Memory Replay for Online Continual Learning on Edge Devices
Shivam Aggarwal, Kuluhan Binici, Tulika Mitra
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (Forthcoming)
Open-Source Code

2023

[ICCAD] FLEX : Introducing FLEXible Execution on CGRA with Spatio-Temporal Vector Dataflow
Thilini Kaushalya Bandara, Dan Wu, Rohan Juneja, Dhananjaya Wijerathne, Tulika Mitra, and Li-Shiuan Peh
42nd ACM/IEEE International Conference on Computer Aided Design, 2023
Open-Source Code

[DATE] Dual Memory Replay for Online Continual Learning on Edge Devices
Shivam Aggarwal, Kuluhan Binici and Tulika Mitra
Design Automation and Test in Europe, 2023

Open-Source Code

[CODAI-ESWEEK] Accelerating Edge AI with Morpher: An Integrated Design, Compilation and Simulation Framework for CGRAs
Dhananjaya Wijerathne, Zhaoying Li, Tulika Mitra
Workshop on Compilers, Deployment, and Tooling for Edge AI with Embedded Systems Week (ESWEEK)
Open-Source Code

[Book Chapter] Coarse-Grained Reconfigurable Array (CGRA)
Zhaoying Li, Dhananjaya Wijerathne, Tulika Mitra
Book chapter in “Handbook of Computer Architecture”, Springer (Invited)

[Book Chapter] Pipelined CNN Inference on Heterogeneous Multi-Processor System-on-Chip
Ehsan Aghapour, Yujie Zhang, Anuj Pathania, Tulika Mitra
Book chapter in “Embedded Machine Learning for Cyber Physical, IoT, and Edge Computing”, Springer (Invited)

2022

[WOSET-ICCAD] Morpher: An Open-Source Integrated Compilation and Simulation Framework for CGRA
Dhananjaya Wijerathne, Zhaoying Li, Manupa Karunaratne, Li-Shiuan Peh, Tulika Mitra
Fifth Workshop on Open-Source EDA Technology with 42nd ACM/IEEE International Conference on Computer Aided Design (ICCAD) 2022
Open-Source Code Link

[ICCD] Power-Performance Characterization of TinyML Systems
Yujie Zhang, Dhananjaya Wijerathne, Zhaoying Li, Tulika Mitra
40th IEEE International Conference on Computer Design 2022

[DAC] PANORAMA: Divide-and-Conquer Approach for Mapping Complex Loop Kernels on CGRA
Dhananjaya Wijerathne, Zhaoying Li, Thilini Kaushalya Bandara, Tulika Mitra
59th ACM/IEEE Design Automation Conference, 2022 Publicity Paper
Open-Source Code Link

[HPCA] LISA: Graph Neural Network based Portable Mapping on Spatial Accelerators
Zhaoying Li, Dan Wu, Dhananjaya Wijerathne, Tulika Mitra
28th IEEE International Symposium on High-Performance Computer Architecture, 2022
Open-Source Code Link Distinguished Artifact Award

[ASPLOS] REVAMP: A Systematic Framework for Heterogeneous CGRA Realization
Thilini Kaushalya Bandara, Dhananjaya Wijerathne, Tulika Mitra, Li-Shiuan Peh
27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2022
Open-Source Code Link

[TCAD] HiMap: Fast and Scalable High-Quality Mapping on CGRA via Hierarchical Abstraction
Dhananjaya Wijerathne, Zhaoying Li, Anuj Pathania, Tulika Mitra, Lothar Thiele
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 41(10) 2022

[TCAD] ChordMap: Automated Mapping of Streaming Applications onto CGRA
Zhaoying Li, Dhananjaya Wijerathne, Xianzhang Chen, Anuj Pathania, Tulika Mitra
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 41(2) 2022

[DATE] GraphWave: A Highly-Parallel Compute-at-Memory Graph Processing Accelerator
Jinho Lee, Burin Amornpaisannon, Tulika Mitra, Trevor E. Carlson
Design Automation and Test in Europe, 2022

[AAAI] Robust and Resource-Efficient Data-Free Knowledge Distillation by Generative Pseudo Replay
Kuluhan Binici, Shivam Aggarwal, Nam Trung Pham, Karianto Leman, Tulika Mitra
36th AAAI Conference on Artificial Intelligence, 2022
Artifact Link

[WACV] Preventing Catastrophic Forgetting and Distribution Mismatch in Knowledge Distillation via Synthetic Data
Kuluhan Binici, Nam Trung Pham, Tulika Mitra
Winter Conference on Applications of Computer Vision, 2022

[TCAD] ASCENT: Communication Scheduling for SDF on Bufferless Software-defined NoC
Vanchinathan Venkataramani, Bruno Bodin, Aditi Kulkarni, Tulika Mitra, Li-Shiuan Peh
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems [In Press]

[MobiArch] Load Balancing for a User-Level Virtualized 5G Cloud-RAN
Nishant Budhdev, Arka Maity, Mun Choon Chan, Tulika Mitra
17th ACM Workshop on Mobility in the Evolving Internet Architecture (Invited)

2021

[MobiCom] FSA: Fronthaul Slicing Architecture for 5G using dataplane programmable switches
Nishant Budhdev, Raj Joshi, Pravein Govindan Kannan, Mun Choon Chan, Tulika Mitra
27th ACM International Conference on Mobile Computing and Networking, 2021

[DATE] HiMap: Fast and Scalable High-Quality Mapping on CGRA via Hierarchical Abstraction
Dhananjaya Wijerathne, Zhaoying Li, Anuj Pathania, Tulika Mitra, Lothar Thiele
Design Automation and Test in Europe 2021

[IEEE-TC] Neural Network-based Performance Prediction for Task Migration on S-NUCA Many-Cores
Martin Rapp, Anuj Pathania, Tulika Mitra, Jörg Henkel
IEEE Transactions on Computers, 70(10), 2021

[IEEE-TC] Power-Efficient Heterogeneous Many-Core Design with NCFET Technology
Sami Salamin, Martin Rapp, Anuj Pathania, Arka Maity,  Jörg Henkel, Tulika Mitra, Hussam Amrouch
IEEE Transactions on Computers, 70(9), 2021

[TSE] oo7: Low-overhead Defense against Spectre Attacks via Binary Analysis
Guanhua Wang, Sudipta Chattopadhyay, Ivan Gotovchits, Tulika Mitra, Abhik Roychoudhury
IEEE Transactions on Software Engineering, 47(11), 2021

2020

[D&T] Neural Network Inference on Mobile SoCs
Siqi Wang, Anuj Pathania, Tulika Mitra
IEEE Design & Test, 37(1) 2020

[TCAD] High-Throughput CNN Inference on Embedded ARM big. LITTLE Multi-Core Processors
Siqi Wang, Gayathri Ananthanarayanan, Yifan Zeng, Neeraj Goel, Anuj Pathania, Tulika Mitra
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 39(10) 2020
[Open-Source Code]

[CEM] Mobile Application Processors: Techniques for Software Power-Performance Optimization (Invited)
Alok Prakash, Siqi Wang, Tulika Mitra
IEEE Consumer Electronics Magazine, 9(4) 2020

[DAC] BrezeFlow: Unified Debugger for Android CPU Power Governors and Schedulers on Edge Devices
Alexander Hoffman, Anuj Pathania, Philipp H. Kindt, Samarjit Chakraborty, Tulika Mitra
57th ACM/IEEE Design Automation Conference, July 2020

[D&T] Survey on Education for Cyber-Physical Systems
Peter Marwedel, Tulika Mitra, Martin Edin Grimheden, Hugo A. Andrade
IEEE Design & Test, 37(6) 2020

[JLPEA] PkMin: Peak Power Minimization for Multi-Threaded Many-Core Applications (Invited)
Arka Maity, Anuj Pathania, Tulika Mitra
Journal of Low Power Electronics and Applications 10 (4), 31, 2020

[RTCSA] Simultaneous Progressing Switching Protocols for Timing Predictable Real-Time Network-on-Chips
Niklas Ueter, Jian-Jia Chen, Georg von der Brüggen, Vanchinathan Venkataramani, Tulika Mitra
26th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, August 2020

[IFIP Networking] IsoRAN: Isolation and Scaling for 5G RAN via User-Level Data Plane Virtualization (Poster)
Nishant Budhdev, Mun Choon Chan, Tulika Mitra
IFIP Networking Conference 2020

[TECS] SPECTRUM: A Software Defined Predictable Many-core Architecture for LTE/5G Baseband Processing
Vanchinathan Venkataramani, Aditi Kulkarni, Tulika Mitra, Li-Shiuan Peh
ACM Transactions on Embedded Computing Systems, 19(5) 2020

[ICASSP] Time-Predictable Software-Defined Architecture with SDF-Based Compiler Flow for 5G Baseband Processing (Invited)
Vanchinathan Venkataramani, Bruno Bodin, Aditi Kulkarni, Tulika Mitra, Li-Shiuan Peh
IEEE 45th International Conference on Acoustics, Speech, and Signal Processing 2020

[DATE] Unified Thread- and Data-Mapping for Multi-Threaded Multi-Phase Applications on SPM Many-Cores
Vanchinathan Venkataramani, Anuj Pathania, Tulika Mitra
Design Automation and Test in Europe 2020

[TOSEM] KLEESPECTRE: Detecting Information Leakage through Speculative Cache Attacks via Symbolic Execution
Guanhua Wang, Sudipta Chattopadhyay, Arnab Kumar Biswas, Tulika Mitra, Abhik Roychoudhury
ACM Transactions on Software Engineering and Methodology 29(3) 2020

2019

[TECS] CASCADE: High Throughput Data Streaming via Decoupled Access/Execute CGRA.
Dhananjaya Wijerathne, Zhaoying Li, Manupa Karunaratne, Anuj Pathania, Tulika Mitra
ACM Transactions on Embedded Computing Systems
Special Issue on ACM/IEEE International Conference on Compilers, Architecture, and Synthesis for Embedded Systems 2019

[ICCAD] 4D-CGRA : Introducing the branch dimension to spatio-temporal application mapping of CGRAs
Manupa Karunaratne, Dhananjaya Wijerathne, Tulika Mitra, Li-Shiuan Peh
38th ACM/IEEE International Conference on Computer Aided Design, November 2019

[A-SSCC] HyCUBE: a 0.9V 26.4 MOPS/mW, 290 pJ/cycle, Power Efficient Accelerator for IoT Applications [Corrected version fixing a typo]
Bo Wang, Manupa Karunarathne, Aditi Kulkarni, Tulika Mitra, Li-Shiuan Peh
IEEE Asian Solid-State Circuits Conference, November 2019

[DAC] Time-Predictable Computing by Design: Looking Back, Looking Forward (Invited)
Tulika Mitra
56th ACM/IEEE Design Automation Conference, June 2019

[LCTES] SPECTRUM: A Software Defined Predictable Many-core Architecture for LTE Baseband Processing
Vanchinathan Venkataramani, Aditi Kulkarni, Tulika Mitra, Li-Shiuan Peh
20th ACM Interntaional Conference on Languages, Compilers, Tools and Theory of Embedded Systems, June 2019

[SAMOS] Scalable Optimal Greedy Scheduler for Asymmetric Multi-/Many-Core Processors
Vanchinathan Venkataramani, Anuj Pathania, Tulika Mitra
19th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, June 2019

[TECS] Synergy: A HW/SW Framework for High Throughput CNNs on Embedded Heterogeneous SoC
Guanwen Zhong, Akshat Dubey, Cheng Tan, Tulika Mitra
ACM Transactions on Embedded Computing Systems, 18(2), 2019

[TCAD] OPTiC: Optimizing Collaborative CPU-GPU Computing on Mobile Devices with Thermal Constraints
Siqi Wang, Gayathri Ananthanarayanan, Tulika Mitra
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 38(3), March 2019

[DATE] Prediction-Based Task Migration on S-NUCA Many-Cores
Martin Rapp, Anuj Pathania, Tulika Mitra, Jörg Henkel
Design Automation and Test in Europe, March 2019

[TECS] Scratchpad-Memory Management for Multi-threaded Applications on Many-Core Architectures
Vanchinathan Venkataramani, Mun Choon Chan, Tulika Mitra
ACM Transactions on Embedded Computing Systems, 18(1), February 2019

2018

[MCSoC] Scalable Dynamic Task Scheduling on Adaptive Many-Core (Invited)
Vanchinathan Venkataramani, Anuj Pathania, Muhammad Shafique, Tulika Mitra, Jörg Henkel
12th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, September 2018

[ISVLSI] Software Support for Heterogeneous Computing (Invited)
Siqi Wang, Alok Prakash, Tulika Mitra
IEEE Computer Society Annual Symposium on VLSI, July 2018

[ISCA] Stitch: Fusible Heterogeneous Accelerators Enmeshed with Many-Core Architecture for Wearables
Cheng Tan, Manupa Karunaratne, Tulika Mitra, Li-Shiuan Peh
45th ACM/IEEE International Symposium on Computer Architecture, June 2018

[DAC] DNestMap : Mapping Deeply-Nested Loops on Ultra-Low Power CGRAs
Manupa Karunaratne, Cheng Tan, Aditi Kulkarni, Tulika Mitra, Li-Shiuan Peh
55th ACM/IEEE Design Automation Conference, June 2018

[DAC] QoS-aware Stochastic Power Management for Many-Cores
Anuj Pathania, Heba Khdr, Muhammad Shafique, Tulika Mitra, Jörg Henkel
55th ACM/IEEE Design Automation Conference, June 2018

[INFOCOM] PR3: Power Efficient and Low Latency Baseband Processing for LTE Femtocells
Nishant Budhdev, Mun Choon Chan, Tulika Mitra
IEEE International Conference on Computer Communications, April 2018

[D&T] Time-Critical Systems Design: A Survey
Tulika Mitra, Jürgen Teich, Lothar Thiele
IEEE Design & Test, 35(2), April 2018 [IEEE Xplore]

[TECS] LOCUS: Low-Power Customizable Many-Core Architecture for Wearables
Cheng Tan, Aditi Kulkarni, Vanchinathan Venkataramani, Manupa Karunaratne, Tulika Mitra, Li-Shiuan Peh
ACM Transactions on Embedded Computing Systems, 17(1), January 2018 [ACM DL]
Invited: Special Issue on Best Papers from Embedded Systems Week 2016

2017

Application-Specific Processors
Tulika Mitra
Invited Book Chapter in “Handbook of Hardware/Software Codesign”, Springer 2017

Power Management of Asymmetric Multi-Cores in the Dark Silicon Era
Tulika Mitra, Thannirmalai Somu Muthukaruppan, Anuj Pathania, Mihai Pricopi, Vanchinathan Venkataramani, Sanjay Vishin
Invited Book chapter in “The Dark Side of Silicon (Computing in the Dark Silicon Era)”, Springer 2017

Accelerating Data Analytics Kernels with Heterogeneous Computing
Guanwen Zhong, Alok Prakash, Tulika Mitra
Invited Book Chapter in “Emerging Technology and Architecture for Big-data Analytics”, Springer 2017

[TECS] CGPredict: Embedded GPU Performance Estimation from Single-Threaded Applications
Siqi Wang, Guanwen Zhong, Tulika Mitra
ACM Transactions on Embedded Computing Systems
Special Issue on ACM/IEEE International Conference on Hardware/Software Codesign and System Synthesis, October 2017

[TPDS] TC-Release++: An Efficient Timestamp-Based Coherence Protocol for Many-Core Architectures
Yuan Yao, Wenzhi Chen, Tulika Mitra, Yang Xiang
IEEE Transactions on Parallel and Distributed Systems, 28(11), November 2017 [IEEE Xplore]

[DAC] HyCUBE : A CGRA with Reconfigurable Single-cycle Multi-hop Interconnect
Manupa Karunaratne, Aditi Kulkarni, Tulika Mitra, Li-Shiuan Peh
54th ACM/IEEE Design Automation Conference, June 2017

[TCAD] Optimal Greedy Algorithm for Many-Core Scheduling
Anuj Pathania, Vanchinathan Venkataramani, Muhammad Shafique, Tulika Mitra, Jörg Henkel
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 36(6), June 2017 [IEEE Xplore]

[TACO] Defragmentation of Tasks in Many-Core Architectures
Anuj Pathania, Vanchinathan Venkataramani, Muhammad Shafique, Tulika Mitra, Jörg Henkel
ACM Transactions on Architecture and Code Optimization, 14(1), April 2017 [ACM DL]

[DATE] Design Space Exploration of FPGA-based Accelerators with Multi-level Parallelism
Guanwen Zhong, Alok Prakash, Siqi Wang, Yun Liang, Tulika Mitra, Smail Niar
Design Automation and Test in Europe, March 2017
[Open-Source Tool]

[DATE] Scalable Probabilistic Power Budgeting for Many-Cores
Anuj Pathania, Heba Khdr, Muhammad Shafique, Tulika Mitra, Jörg Henkel
Design Automation and Test in Europe, March 2017
Best Paper Candidate

2016

Power Management of Mobile GPUs
Tulika Mitra, Alok Prakash, Anuj Pathania
Invited Book chapter in “Advances in GPU Research and Practice”, Elsevier 2016

Adaptive Isolation for Predictability and Security
Tulika Mitra, Jürgen Teich, Lothar Thiele
Schloss Dagstuhl--Leibniz-Zentrum fuer Informatik
Dagstuhl Reports, 6(10), 2016

[CASES] LOCUS: Low-Power Customizable Many-Core Architecture for Wearables
Wearable Benchmark Suite: Parallel and Serial version of representative wearable computational kernels
Cheng Tan, Aditi Kulkarni, Vanchinathan Venkataramani, Manupa Karunaratne, Tulika Mitra, Li-Shiuan Peh
ACM International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, October 2016
Best Paper Candidate

[DFT] Combined On-line Lifetime-Energy Optimization for Asymmetric Multicores
Cristiana Bolchini, Matteo Carminati, Tulika Mitra, Thannirmalai Somu Muthukaruppan
29th Defect and Fault Tolerance in VLSI and Nanotechnology Systems Symposium, September 2016

[DAC] Improving Mobile Gaming Performance through Cooperative CPU-GPU Thermal Management
Alok Prakash, Hussam Amrouch,
Muhammad Shafique, Tulika Mitra, Jörg Henkel
53rd ACM/IEEE Design Automation Conference, June 2016
Best Paper Candidate

[DAC] Lin-Analyzer: A High-level Performance Analysis Tool for FPGA-based Accelerators
Guanwen Zhong, Alok Prakash,Yun Liang, Tulika Mitra, Smail Niar
53rd ACM/IEEE Design Automation Conference, June 2016
[Open-Source Tool]

[DAC] Distributed Scheduling for Many-Cores Using Cooperative Game Theory
Anuj Pathania, Vanchinathan Venkataramani, Muhammad Shafique, Tulika Mitra, Jörg Henkel
53rd ACM/IEEE Design Automation Conference, June 2016

[ICS] Efficient Timestamp-Based Cache Coherence Protocol for Many-Core Architectures
Yuan Yao, Guanhua Wang, Zhiguo Ge, Tulika Mitra, Naxin Zhang, Wenzhi Chen
27th ACM International Conference on Supercomputing, June 2016

[ICSE] Automated Partitioning of Android Applications for Trusted Execution Environments
Konstantin Rubinov, Lucia Rosculete, Tulika Mitra, Abhik Roychoudhury
ACM/IEEE International Conference on Software Engineering, May 2016.

[DATE] Distributed Fair Scheduling for Many-Cores
Anuj Pathania, Vanchinathan Venkataramani, Muhammad Shafique, Tulika Mitra, Jörg Henkel
Design Automation and Test in Europe, March 2016

[IEEE-TVT] Design of Multiple-Target Tracking System on Heterogeneous System-on-Chip Devices
Guanwen Zhong, Smail Niar, Alok Prakash, Tulika Mitra
IEEE Transactions on Vehicular Technology 65(6): 4802-4812 [IEEE Xplore]

2015

[IEEE-TCAD] Instruction Cache Locking Using Temporal Reuse Profile
Yun Liang, Lei Ju, Tulika Mitra
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 34(9): 1387-1400 [IEEE Xplore]

[ICCD] Energy-Efficient Execution of Data-Parallel Applications on Heterogeneous Mobile Platforms
Alok Prakash, Siqi Wang, Alexandru Eugen Irimiea, Tulika Mitra
33rd IEEE International Conference on Computer Design, October 2015

[IPSJ] Heterogeneous Multi-core Architectures
Tulika Mitra
Invited Paper in IPSJ Transactions on System LSI Design Methodology 8(2015): 51-62

[DAC] Power-Performance Modelling of Mobile Gaming Workloads on Heterogeneous MPSoCs
Anuj Pathania, Alexandru Eugen Irimiea, Alok Prakash, Tulika Mitra
52nd  ACM/IEEE Design Automation Conference, June 2015

[DATE] SelectDirectory: A Selective Directory for Cache Coherence in Many-Core Architectures
Yuan Yao, Guanhua Wang, Zhiguo Ge, Tulika Mitra, Naxin Zhang, Wenzhi Chen
Design Automation and Test in Europe, March 2015

[CGO] Improving GPGPU Energy-Efficiency through Concurrent Kernel Execution and DVFS
Qing Jiao, Mian Lu, Huynh Phung Huynh, Tulika Mitra
ACM/IEEE International Symposium on Code Generation and Optimization, February 2015

[ASPDAC] Approximation-Aware Scheduling on Heterogeneous Multi-core Architectures
Cheng Tan, Thannirmalai Somu Muthukaruppan, Tulika Mitra, Lei Ju
20th Asia and South Pacific Design Automation Conference, January 2015

2014

[ACM-TRETS]  Graph Minor Approach for Application Mapping on CGRAs
Liang Chen, Tulika Mitra
ACM Transactions on Reconfigurable Technology and Systems 7(3): 21

[IEEE-TC] Task Scheduling on Adaptive Multi-Core
Mihai Pricopi, Tulika Mitra
IEEE Transactions on Computers 63(10): 2590-2603

[ISIC] Energy-Efficient Computing with Heterogeneous Multi-Cores
Tulika Mitra
Invited Special Session Paper in International Symposium on Integrated Circuits, December 2014

[CODES+ISSS] Dark Silicon as a Challenge for Hardware/Software Co-Design
Muhammad Shafique, Siddharth Garg, Tulika Mitra, Sri Parameswaran, Jörg Henkel
Invited Special Session Paper in ACM/IEEE International Conference on Hardware/Software Codesign and System Synthesis, October 2014

[ICCD] Design Space Exploration of Multiple Loops on FPGAs using High Level Synthesis
Guanwen Zhong, Vanchinathan Venkataramani,Yun Liang, Tulika Mitra, Smail Niar
32nd IEEE International Conference on Computer Design, October 2014

[DAC] Integrated CPU-GPU Power Management for 3D Mobile Games
Anuj Pathania, Jiao Qing, Alok Prakash, Tulika Mitra
51st  ACM/IEEE Design Automation Conference, June 2014

[ASPLOS] Price Theory Based Power Management for Heterogeneous Multi-Cores
Thannirmalai Somu Muthukaruppan, Anuj Pathania, Tulika Mitra
19th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, March 2014

[DATE]  WCET-Centric Dynamic Instruction Cache Locking
Huping Ding, Yun Liang, Tulika Mitra
Design Automation and Test in Europe, March 2014

2013

[ACM-TECS] An Analytical Approach for Fast and Accurate Design Space Exploration of Instruction Caches
Yun Liang, Tulika Mitra
ACM Transactions on Embedded Computing Systems 13(2): 15, December 2013

[ICCAD] A Just-in-Time Customizable Processor
Liang Chen, Joseph Tarango, Tulika Mitra, Philip Brisk
31st ACM/IEEE International Conference on Computer-Aided Design, November 2013

[CASES] Power-Performance Modeling on Asymmetric Multi-Cores
Mihai Pricopi, Thannirmalai Somu Muthukaruppan, V Vanchinathan, Tulika Mitra, Sanjay Vishin
ACM International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, October 2013

[ICCD] Energy-Aware Synthesis of Application Specific MPSoCs
Thannirmalai Somu Muthukaruppan, Haris Javaid, Tulika Mitra and Sri Parameswaran
31st IEEE International Conference on Computer Design, October 2013

[VLSI-SoC] Implementation of Core Coalition on FPGAs
Kaushik Mysur, Mihai Pricopi, Thomas Marconi, Tulika Mitra
IFIP/IEEE International Conference on Very Large Scale Integration, October 2013

[DAC] Hierarchical Power Management for Asymmetric Multi-Core in Dark Silicon Era
Thannirmalai Somu Muthukaruppan, Mihai Pricopi, V Vanchinathan, Tulika Mitra, Sanjay Vishin
50th Design Automation Conference, June 2013

[DAC] Integrated Instruction Cache Analysis and Locking in Multitasking Real-time Systems
Huping Ding, Yun Liang, Tulika Mitra
50th Design Automation Conference, June 2013

[ASPDAC] Shared Cache Aware Task Mapping for WCRT Minimization
Huping Ding, Yun Liang, Tulika Mitra
18th Asia and South Pacific Design Automation Conference, January 2013

[VLSI] Lifetime Reliability Aware Architectural Adaptation
Thannirmalai Somu Muthukaruppan and Tulika Mitra
26th International Conference on VLSI Design, January 2013
Best Paper Candidate

2012

[FPT] Graph Minor Approach for Application Mapping on CGRAs [Much expanded journal version]
Liang Chen, Tulika Mitra
International Conference on Field Programmable Technology, December 2012
Best Paper Award

[RTS-Jnl] Timing analysis of concurrent programs running on shared cache multi-cores
Yun Liang, Huping Ding, Tulika Mitra, Abhik Roychoudhury, Yan Li, Vivy Suhendra
Real-Time Systems Journal 48(6) November 2012

[DAC] WCET-Centric Partial Instruction Cache Locking
Huping Ding, Yun Liang, Tulika Mitra
Design Automation Conference, June 2012
Best Paper Candidate

[DATE] Online Scheduling for Multi-Core Shared Reconfigurable Fabric
Liang Chen, Thomas Marconi, Tulika Mitra
Design Automation and Test in Europe, March 2012
[TACO] Bahurupi: A polymorphic heterogeneous multi-core architecture
ACM DL Author-ize service
Mihai Pricopi, Tulika Mitra
ACM Transactions on Architecture and Code Optimization, 8(4), January 2012
Presented at 7th International Conference on High-Performance and Embedded Architectures and Compilers (HiPEAC) 2012
Best Student Poster Award

2011

[FPT] A Novel Online Hardware Task Scheduling and Placement Algorithm for 3D Partially Reconfigurable FPGAs
Thomas Marconi, Tulika Mitra
International Conference on Field Programmable Technology, December 2010

[DAC] Shared Reconfigurable Fabric for Multi-Core Customization
Liang Chen, Tulika Mitra
Design Automation Conference, June 2011

[SASP] Customized MPSoC Synthesis for Task Sequence
Liang Chen, Nicolas Boichat, Tulika Mitra
IEEE Symposium on Application Specific Processors, June 2011

2010

[FPT] Efficient Custom Instructions Generation for System-Level Design
Huynh Phung Huynh, Yun Liang, Tulika Mitra
International Conference on Field Programmable Technology, December 2010

[CASES] Improved Procedure Placement for Set Associative Caches
Yun Liang, Tulika Mitra
International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, October 2010

[SAMOS] Design Space Exploration of Instruction Set Customizable MPSoCs for Multimedia Applications
Unmesh Bordoloi, Huynh Phung Huynh, Tulika Mitra, Samarjit Chakraborty
International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, July 2010

[DAC] Instruction Cache Locking using Temporal Reuse Profile
Yun Liang, Tulika Mitra
Design Automation Conference, June 2010

[SCOPES] Modeling Shared Cache and Bus in Multi-cores for Timing Analysis
Sudipta Chattopadhyay, Abhik Roychoudhury, Tulika Mitra
International Workshop on Software and Compilers for Embedded Systems, June 2010

[TOPLAS] Scratchpad Allocation for Concurrent Embedded Software ACM DL Author-ize service
Vivy Suhendra, Abhik Roychoudhury, Tulika Mitra 
ACM Transactions on Programming Languages and Systems, 34(4), April 2010
Much expanded version of CODES+ISSS’08 conference paper

2009

[RTSS] Timing Analysis of Concurrent Programs Running on Shared Cache Multi-Cores
Yan Li, Vivy Suhendra, Yun Liang, Tulika Mitra, Abhik Roychoudhury
IEEE Real-Time Systems Symposium, December 2009

[ICCAD] A Hybrid Local-Global Approach for Multi-Core Thermal Management
Ramkumar Jayaseelan, Tulika Mitra
International Conference on Computer-aided Design, November 2009

[EMSOFT] Probabilistic Modeling of Data Cache Behavior
Vinayak Puranik, Tulika Mitra, Y. N. Srikant
International Conference on Embedded Software, October 2009

Temperature Aware Scheduling for Embedded Processors
Ramkumar Jayaseelan, Tulika Mitra
Journal of Low Power Electronics, American Scientific Publisher, 5(3), October 2009
Invited: Special Issue on VLSI Design 2009

Cache-aware Optimization of BAN Applications 
Lei Ju, Yun Liang, Samarjit Chakraborty, Tulika Mitra, Abhik Roychoudhury
Design Automation for Embedded Systems, Springer Journal, 13(3), September 2009
Invited: Special Issue on Best Papers from ESWeek 2008

[DAC] Generating Test Programs to Cover Pipeline Interactions  
Thanh Nga Dang, Abhik Roychoudhury, Tulika Mitra, Prabhat Mishra
Design Automation Conference, July 2009
Best Paper Candidate

[DAC]
Dynamic Thermal Management via Architectural Adaptation
Ramkumar Jayaseelan, Tulika Mitra
Design Automation Conference, July 2009

[DAC] Evaluating Design Trade-offs in Customizable Processors
Unmesh D. Bordoloi, Huynh Phung Huynh, Samarjit Chakraborty, Tulika Mitra
Design Automation Conference, July 2009

[DAC] A DVS-based Pipelined Reconfigurable Instruction Memory
Zhiguo Ge, Tulika Mitra, Weng-Fai Wong
Design Automation Conference, July 2009

[SAMOS] Runtime Adaptive Extensible Embedded Processors – A Survey
Huynh Phung Huynh, Tulika Mitra
International Workshop on Systems, Architectures, Modeling, and Simulation, July 2009
Invited Paper

An Efficient Framework for Dynamic Reconfiguration of Instruction-Set Customization
Huynh Phung Huynh, Edward Sim, Tulika Mitra
Design Automation for Embedded Systems, Springer Journal, 13(1-2), June 2009
Invited: Special Issue on Best Papers from ESWeek 2007

[DATE] Runtime Reconfiguration of Custom Instructions for Real-Time Embedded Systems
Huynh Phung Huynh, Tulika Mitra
Design Automation and Test in Europe, April 2009

[VLSI] Temperature Aware Scheduling for Embedded Processors
Ramkumar Jayaseelan, Tulika Mitra
International Conference on VLSI Design, January 2009

Cache-Aware Timing Analysis of Streaming Applications 
Samarjit Chakraborty, Tulika Mitra, Abhik Roychoudhury, Lothar Thiele
Real-Time Systems Journal, Kluwer Academic Publishers, 41(1), January 2009
Invited: Special Issue on Best Papers from ECRTS 2007

2008

[FPT] Defining Neighborhood Relations for Fast Spatial-Temporal Partitioning of Applications on Reconfigurable Architectures
Edward Sim, Tulika Mitra, Weng-Fai Wong
International Conference on Field Programmable Technology, December 2008 

[FPT] Processor Customization for Wearable Bio-monitoring Platforms
Huynh Phung Huynh, Tulika Mitra
International Conference on Field Programmable Technology, December 2008 

[ICCAD] Temperature aware Task Sequencing and Voltage Scaling
Ramkumar Jayaseelan, Tulika Mitra
International Conference on Computer-aided Design, November 2008

[CODES+ISSS] Static Analysis for Fast and Accurate Design Space Exploration of Caches
Yun Liang, Tulika Mitra
International Conference on Hardware/Software Codesign and System Synthesis, October 2008

[CODES+ISSS] Scratchpad Allocation for Concurrent Embedded Software
Vivy Suhendra, Abhik Roychoudhury, Tulika Mitra 
International Conference on Hardware/Software Codesign and System Synthesis, October 2008

[CODES+ISSS] Cache-aware Optimization of BAN Applications 
Yun Liang, Lei Ju, Samarjit Chakraborty, Tulika Mitra, Abhik Roychoudhury
International Conference on Hardware/Software Codesign and System Synthesis, October 2008
Best Paper Candidate

[DAC] Cache Modeling in Probabilistic Execution Time Analysis
Yun Liang, Tulika Mitra
Design Automation Conference, June 2008

[DAC] Exploring Locking & Partitioning for Predictable Shared Caches on Multi-Cores
Vivy Suhendra, Tulika Mitra
Design Automation Conference, June 2008

[BSN] Fast and Accurate Simulation of Biomonitoring Applications on a Wireless Body Area Network
Kathy Dang Nguyen, Ioana Cutcutache, Saravanan Sinnadurai, Shanshan Liu, Cihat Basol, Edward Sim, Phan Thi Xuan Linh, Tok Teck Bok, Lin Xu, Francis Tay Eng Hock, Tulika Mitra, Weng-Fai Wong.
International Workshop on Wearable and Implantable Body Sensor Networks, June 2008

The Worst-Case Execution Time Problem - Overview of Methods and Survey of Tools ACM DL Author-ize service
R. Wilhelm, J. Engblom, A. Ermedahl, N. Holsti, S. Thesing, D. Whalley, G. Bernat, C. Ferdinand, R. Heckman, T. Mitra, F. Mueller, I. Puaut, P. Puschner, J. Staschulat, P. Stenstrom.
ACM Transactions on Embedded Computing Systems, 7(3), April 2008

2007

Chronos: A Timing Analyzer for Embedded Software
Xianfeng Li, Yun Liang, Tulika Mitra, Abhik Roychoudhury
Science of Computer Programming, Special issue on Experimental Software and Toolkit, 69(1-3), December 2007

Worst-Case Execution Time and Energy Analysis
Tulika Mitra, Abhik Roychoudhury
Chapter in The Compiler Design Handbook: Optimizations and Machine Code Generation, 2nd edition, CRC Press.

A SystemC-based Fast Simulator for Biomonitoring Applications on Wireless Ban
Kathy Dang Nguyen, Ioana Cutcutache, Saravanan Sinnadurai, Shanshan Liu, Cihat Basol, Adrian Curic,  Tok Teck Bok, Lin Xu, Francis Tay Eng Hock, Tulika Mitra
Workshop on Software and Systems for Medical Devices and Services, December 2007

[CASES] An Efficient Framework for Dynamic Reconfiguration of Instruction-Set Customization
Huynh Phung Huynh, Edward Sim, Tulika Mitra
International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, October 2007

[FPL] Disjoint Pattern Enumeration for Custom Instructions Identification [Technical report]  
Pan Yu, Tulika Mitra
International Conference on Field Programmable Logic and Applications, August 2007
Best Paper Candidate

[ECRTS] Cache-Aware Timing Analysis of Streaming Applications
Samarjit Chakraborty, Tulika Mitra, Abhik Roychoudhury, Lothar Thiele, Unmesh D. Bordoloi, Cem Derdiyok.
Euromicro Conference on Real-Time Systems, July 2007
Best Paper Candidate

[WCET] Timing Analysis of Body Area Network Application
Yun Liang, Abhik Roychoudhury, Tulika Mitra
International Workshop on Worst-Case Execution Time Analysis, July 2007

[DATE] Instruction-Set Customization for Real-Time Systems
Huynh Phung Huynh, Tulika Mitra
Design Automation and Test in Europe, April 2007

[ASP-DAC] A Retargetable Software Timing Analyzer Using Architecture Description Language
Xianfeng Li, Abhik Roychoudhury, Tulika Mitra, Prabhat Mishra, Xu Cheng
Asia and South Pacific Design Automation Conference, January 2007

2006

Modeling Out-of-Order Processors for WCET Analysis,
Xianfeng Li, Abhik Roychoudhury, Tulika Mitra
Real-Time Systems Journal, Kluwer Academic Publishers, 34(3), November 2006

[CASES] Integrated Scratchpad Memory Optimization and Task Scheduling for MPSoC Architectures
Vivy Suhendra, Chandrashekar Raghavan, Tulika Mitra
International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, October 2006

Challenges in Designing Embedded Systems Courses
Tulika Mitra
Workshop on Embedded Systems Education in conjunction with EMSOFT, October 2006 

[DAC] Exploiting Forwarding to Improve Data Bandwidth of Instruction-Set Extensions  [Technical report ]
Ramkumar Jayaseelan, Haibin Liu, Tulika Mitra.
Design Automation Conference, July 2006

[DAC] Efficient Detection and Exploitation of Infeasible Paths for Software Timing Analysis
Vivy Suhendra, Tulika Mitra, Abhik Roychoudhury, Ting Chen
Design Automation Conference, July 2006

[RTAS] Estimating the Worst-Case Energy Consumption of Embedded Software,
Ramkumar Jayaseelan, Tulika Mitra, Xianfeng Li
Real-Time and Embedded Technology and Applications Symposium, April 2006

[VLSI] Handling Constraints in Multi-objective GA for Embedded System Design,
Biman Chakraborty, Ting Chen, Tulika Mitra, Abhik Roychoudhury
International Conference on VLSI Design, January 2006

2005

[RTSS] WCET Centric Data Allocation to Scratchpad Memory,
Vivy Suhendra, Tulika Mitra, Abhik Roychoudhury, Ting Chen
Real-time Systems Symposium, December 2005

Analyzing Loop Paths for Execution Time Estimation,
Abhik Roychoudhury, Tulika Mitra, Hemedra Singh Negi
International Conference on Distributed Computing and Internet Technology, December 2005. LNCS vol. 3347

[CODES+ISSS] Satisfying Real-Time Constraints with Custom Instructions,
Pan Yu, Tulika Mitra
International Conference on Hardware/Software Codesign and System Synthesis, September 2005

[WCET] Exploiting Branch Constraints without Exhaustive Path Enumeration
Ting Chen, Tulika Mitra, Abhik Roychoudhury, Vivy Suhendra
International Workshop on Worst-Case Execution Time Analysis, July 2005

Modeling Control Speculation for Timing Analysis,
Xianfeng Li, Tulika Mitra, Abhik Roychoudhury
Real-Time Systems Journal, Kluwer Academic Publishers, 29(1), January 2005

2004

[RTSS] Modeling Out-of-Order Processors for Software Timing Analysis,
Xianfeng Li, Abhik Roychoudhury, Tulika Mitra
Real-time Systems Symposium, December 2004

[ICCAD] Configuration Bitstream Compression for Dynamically Reconfigurable FPGAs
Ju Hwa Pan, Tulika Mitra, Weng-Fai Wong
International Conference on Computer Aided Design, November 2004

[PACT] Impact of Java Memory Model on Out-of-Order Multiprocessors
Tulika Mitra, Abhik Roychoudhury, Qinghua Shen
International Conference on Parallel Architecture and Compilation Techniques, September-October 2004

[CASES] Scalable Custom Instructions Identification for Instruction-Set Extensible Processors,
Pan Yu, Tulika Mitra
International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, September 2004

[DAC] Characterizing Embedded Applications for Instruction-Set Extensible Processors
Pan Yu, Tulika Mitra
Design Automation Conference, June 2004

[ICS] Design Space Exploration of Caches using Compressed Traces
Xianfeng Li, Hemendra Singh Negi, Tulika Mitra, Abhik Roychoudhury
International Conference on Supercomputing, June 2004

[WCET] Simplifying WCET Analysis by Code Transformations
Hemendra Singh Negi, Abhik Roychoudhury, Tulika Mitra
International Workshop on Worst-Case Execution Time Analysis, June 2004

2003

[CODES+ISSS] Accurate Estimation of Cache-Related Preemption Delay,
Hemendra Singh Negi, Tulika Mitra, Abhik Roychoudhury
International Conference on Hardware/Software Codesign and System Synthesis, October 2003

Improving Rendering by Texture Map-Based Triangle Strips
Yu Yang, Tulika Mitra, Zhiyong Huang
International Conference on Computer Aided Design and Computer Graphics, October 2003

[FPL] A Model for Hardware Realization of Kernel Loops
Jirong Liao, Weng-Fai Wong, Tulika Mitra
International Conference on Field Programmable Logic and Applications, September 2003

[PPoPP] Compactly Representing Parallel Program Executions
Ankit Goel, Abhik Roychoudhury, Tulika Mitra
Symposium on Principles and Practice of Parallel Programming, June 2003

[DAC] Accurate Timing Analysis by Modeling Caches, Speculation and their Interaction
Xianfeng Li, Tulika Mitra, Abhik Roychoudhury
Design Automation Conference, June 2003 

[DATE] Using Formal Techniques to Debug the AMBA System-on-Chip Bus Protocol,
Abhik Roychoudhury, Tulika Mitra, Siddhartha Rao Karri
Design Automation & Test in Europe, March 2003

[DCC] Compression-Domain Editing of 3D Models
Tulika Mitra, Tzi-cker Chiueh
Data Compression Conference, March 2003

2002

[FPT] A Co-simulation Study of Adaptive EPIC Computing
Valentin Stefan Gheorghita, Weng-Fai Wong, Tulika Mitra, Surendranath Talla
International Conference on Field Programmable Technology, December 2002 

[ISSS] Timing Analysis of Embedded Software for Speculative Processors,
Tulika Mitra, Abhik Roychoudhury, Xianfeng Li
International Symposium on System Synthesis, October 2002

[WCET] A Framework to Model Branch Prediction for WCET Analysis
Tulika Mitra, Abhik Roychourdhury
Workshop on Worst Case Execution Time Analysis, June 2002

[USENIX] A Decoupled Architecture for Application-Specific File Prefetching,
Chuan-Kai Yang, Tulika Mitra, Tzi-cker Chiueh.
USENIX Annual Technical Conference FREENIX track, June 2002

[ICSE] Specifying Multithreaded Java Semantics for Program Verification
Abhik Roychoudhury, Tulika Mitra
International Conference on Software Engineering, May 2002

[FCCM] An FPGA Implementation of Triangle Mesh Decompression
Tulika Mitra, Tzi-cker Chiueh
 International Symposium on Field Programmable Custom Computing Machines, April 2002

[IPDPS] Compression Domain Parallel Rendering
Tulika Mitra, Tzi-cker Chiueh
International Parallel and Distributed Processing Symposium, April 2002

Before 2001

Mesh Compression and Its Hardware/Software Applications,
Tulika Mitra
PhD Thesis, Department of Computer Science, State University of New York at Stony Brook, December 2000

Zodiac: A History-Based Interactive Video Authoring System,
Tzi-cker Chiueh, Tulika Mitra, Anindya Neogi, Chuan-Kai Yang
Multimedia Systems journal, special issue on Multimedia Authoring and Presentation Techniques, Volume 8(3) 2000

[VIS] On-the-Fly Rendering of Losslessly Compressed Irregular Volume Data,
Chuan-Kai Yang, Tulika Mitra, Tzi-cker Chiueh
Visualization Conference, October 2000

[ICME] Application-Specific File Prefetching for Multimedia Programs,
Tulika Mitra, Chuan-Kai Yang, Tzi-cker Chiueh
International Conference on Multimedia and Expo, July 2000

Three Dimensional Graphics Architecture,
Tulika Mitra, Tzi-cker Chiueh
Current Science: Special Section on Computational Science, Volume 78(7), April 2000

Reusing BIST Logic for Intelligent DRAM,
Tzi-cker Chiueh, Tulika Mitra
IEEE Computer Society Technical Committee on Computer Architecture (TCCA) Newsletter, 2000

[MICRO] Dynamic 3D Graphics Workload Characterization and the Architectural Implications [Technical report]
Tulika Mitra, Tzi-cker Chiueh
International Symposium on Microarchitecture, November 1999

[ISCA] Dynamic Vectorization: A Mechanism for Exploiting Far-Flung ILP in Ordinary Programs
Sriram Vajapeyam, P.J. Joseph, Tulika Mitra
International Symposium on Computer Architecture, May 1999

Dynamic Random Access Memory: A Survey
Tulika Mitra
Research Proficiency Examination Report, SUNY at Stony Brook, March 1999

[ICPADS]  Implementation and Performance Evaluation of Parallel Mesa Library
Tulika Mitra, Tzi-cker Chiueh
International Conference on Parallel and Distributed Systems, December 1998

[MM]  Zodiac: A History-Based Interactive Video Authoring System
Tzi-cker Chiueh, Tulika Mitra, Anindya Neogi, Chuan-Kai Yang
International Multimedia Conference, September 1998

[GH] A Breadth-First Approach to Efficient Mesh Traversal
Tulika Mitra, Tzi-cker Chiueh
Workshop on Graphics Hardware, August 1998

[ISCA] Improving Superscalar Instruction Dispatch and Issue by Exploiting Dynamic Code Sequence
Sriram Vajapeyam, Tulika Mitra
International Symposium on Computer Architecture, June 1997

Performance Evaluation of Improved Superscalar Issue Mechanisms
Tulika Mitra
Masters Thesis, Department of Computer Science and Automation, Indian Institute of Science, Bangalore, India, January 1997.

A neural method for determining electromagnetic shower positions in laterally segmented calorimeters,
Amitava Roy, Amlan Roy, Tulika Mitra, Arunabha Roy
Nuclear instruments and methods in Physics Research, A 364 1995, 524-530